リングオシレータとは:動作とその応用

問題を排除するために楽器を試してください





発振器は特定の周波数を持つ信号を生成するために使用され、これらはデジタルシステムの計算プロセスを同期させるのに役立ちます。入力信号なしで連続波形を生成する電子回路です。発振器は、DC信号を目的の周波数の交流信号形式に変換します。電子回路に使用されている部品に応じて、さまざまな種類の発振器があります。さまざまな種類の発振器は ウィーンブリッジ発振器、 RC位相シフト発振器、 ハートレー発振器 、電圧制御発振器、 コルピッツ発振器 、リングオシレーター、ガンオシレーター、および 水晶発振器 、など。この記事の終わりまでに、リングオシレータとは何かがわかります。 導出 、レイアウト、周波数式、およびアプリケーション。

リングオシレータとは何ですか?

リングオシレータの定義は次のとおりです。「奇数のインバータが直列に接続され、正のフィードバックと出力が1または0の2つの電圧レベル間で発振してプロセスの速度を測定します。インバーターの代わりに、NOTゲートで定義することもできます。これらの発振器には、「n」個の奇数のインバーターがあります。たとえば、このオシレータに3がある場合 インバーター それからそれは3段リングオシレータと呼ばれます。インバータ数が7の場合、7段リングオシレータです。この発振器のインバータ段数は、主にこの発振器から生成したい周波数に依存します。




リングオシレータ-図

リングオシレータ-図

リングオシレータの設計は、3つのインバータを使用して行うことができます。発振器が単段で使用されている場合、発振とゲインは十分ではありません。発振器に2つのインバータがある場合、システムの発振とゲインは、単段リングオシレータよりも少し大きくなります。したがって、この3段発振器には、正のフィードバックシステムと直列の形で接続された3つのインバータがあります。したがって、システムの振動とゲインは十分です。これが3段発振器を選択する理由です。



「リングオシレータは奇数のインバータを使用して、単一の反転増幅器よりも多くのゲインを実現します。インバータは入力信号に遅延を与え、インバータの数が増えると発振器の周波数が下がります。したがって、必要な発振器周波数は、発振器のインバータ段の数によって異なります。」

この発振器の発振周波数式は次のとおりです。

リングオシレータ周波数

リングオシレータ周波数

ここで、T =単一インバーターの時間遅延


n =発振器内のインバーターの数

リングオシレータのレイアウト

上記の2つの図は、3段リングオシレータの回路図と出力波形を示しています。ここで、PMOSのサイズはNMOSの2倍です。ザ・ MOSFET サイズは1.05、PMOSは2.1です

リングオシレータレイアウト

リングオシレータレイアウト

これらの値から、3段リングオシレータの周期は1.52nsです。この期間までに、この発振器は657.8MHzの範囲の周波数の信号を生成できると言えます。この周波数よりも低い信号を生成するには、この発振器にインバータ段を追加する必要があります。これにより、遅延が増加し、動作周波数が低下します。たとえば、100MHz以下の信号を生成するには、この発振器に20個のインバータ段を追加する必要があります。

リングオシレータ-output2

リングオシレータ-output2

次の図は、リングオシレータのレイアウトを示しています。これは、27MHzの周波数で信号を生成する71段の発振器です。この発振器で使用されるインバータは、L1M1およびPYL1接点を使用して接続されます。この接点により、インバータの入力と出力が相互に接続されます。また、Vddピンはソース接続用です。

ring-oscilator-layout-71-stages

ring-oscillator-layout-71-stages

トランジスタを使用したリングオシレータ

リングオシレータは、フィードバック接続を備えた直列形式で接続されたインバータの組み合わせです。そして、最終段の出力は再び発振器の初段に接続されます。これは、トランジスタの実装を通じても行うことができます。下の図は、リングオシレータの注入を示しています。 CMOSトランジスタ

リングオシレータ-使用トランジスタ

リングオシレータ-使用トランジスタ

  • 入力は、Vddに接続されたピン6とピン14、およびグランドに接続されたピン7を介してこの発振器に与えることができます。
  • C1、C2、およびC3は、0.1uFの値を持つコンデンサです。
  • ここでピン14、つまり3.3Vの電源電圧を取得する必要があります。
  • この発振器の出力は、ピン12ポートの後から取得できます。
  • Vdd値を3.3Vに設定し、周波数を250Hzに設定します。また、C1、C2、およびC3コンデンサは、各インバータ出力段での立ち上がり時間と立ち下がり時間を測定します。発振周波数に注意してください。
  • 次に、Vddピンを5Vに接続し、上記のプロセスを繰り返して、伝搬遅延時間と発振周波数を書き留めます。
  • いくつかの電圧レベルでこのプロセスを繰り返すと、供給電圧が増加するとゲート遅延(立ち上がり時間と立ち下がり時間)が減少することがわかります。供給電圧が低下すると、ゲートの遅延が増加します。

周波数式

のインバータ段数の使用に基づく リングオシレータ周波数 次の式で導出できます。ここでは、各インバータの遅延時間も重要です。この発振器の最終的な安定した発振周波数は、

ここで、nはこの発振器で使用されるインバータ段の数を示します。 Tは各インバータ段の遅延時間です。

この発振器周波数は、遅延時間のステージとこの発振器で使用されるステージ数にのみ依存します。したがって、遅延時間は、発振器周波数を見つける上で最も重要なパラメータです。

アプリケーション

いくつか この発振器のアプリケーション ここで説明します。彼らです、

  • これらは、電圧と温度が 集積チップ
  • ウェーハテスト中は、これらの発振器が推奨されます。
  • 周波数シンセサイザでは、これらの発振器が適用可能です。
  • シリアルデータ通信でのデータ回復の目的で、これらの発振器は便利です。
  • フェーズロックループ(PLL) VCOは、この発振器を使用して設計できます。

リングオシレーター あらゆる条件で目的の周波数を生成するように設計されています。発振周波数は、各インバータ段の段数と遅延時間に依存します。そして、この発振器の温度と電圧の影響は、5つの条件でテストできます。すべての異なるテスト条件で、温度が上昇すると、出力の期間を最低温度値と比較して短縮できます。温度が変化する場合は、位相ノイズとジッタ値を分析する必要があります。